Welcome to our new forum
All users of the legacy CODESYS Forums, please create a new account at account.codesys.com. But make sure to use the same E-Mail address as in the old Forum. Then your posts will be matched. Close

Simulation mode error

2016-06-25
2016-06-27
  • valeri-velinov - 2016-06-25

    In simulation mode my project out two errors. The same project on two different computer as compile in simulation mode out two errors. On one PC in simulation mode compilation is without error, but I set "run" and appears the following error - "Access to illegal address! PLC is stopped."
    On the other computer is a compilation error - "Error 4001: ConfigEndCycle (2): Identifier 'TI' not defined"
    I don't know what is the problem. Does anyone have any idea what could be the reason?

    IMG: codesys_problem_2.png

    IMG: codesys_problem_1.png

     
  • Anonymous - 2016-06-27

    Originally created by: scott_cunningham

    Gut feeling that this smells like pointers are used and writing to wrong locations or using references that are not initialized. You can accidentally write to memory locations and crash your PLC. Additionally accessing arrays out of bounds Can also cause these errors.

     

Log in to post a comment.